[vte] Remove expensive assertion



commit e28d3818f3b22fbdf61d721183419a4a010c8a01
Author: Behdad Esfahbod <behdad behdad org>
Date:   Wed Sep 16 18:09:35 2009 -0400

    Remove expensive assertion

 src/vte.c |    2 --
 1 files changed, 0 insertions(+), 2 deletions(-)
---
diff --git a/src/vte.c b/src/vte.c
index b618cf6..b090315 100644
--- a/src/vte.c
+++ b/src/vte.c
@@ -2280,8 +2280,6 @@ _vte_terminal_ensure_row (VteTerminal *terminal)
 	gint delta;
 	glong v;
 
-	g_assert (VTE_IS_TERMINAL (terminal));
-
 	/* Must make sure we're in a sane area. */
 	screen = terminal->pvt->screen;
 	v = screen->cursor_current.row;



[Date Prev][Date Next]   [Thread Prev][Thread Next]   [Thread Index] [Date Index] [Author Index]