Re: [Vala] valac-0.12 incorrectly (?) copies array element before passing it to struct function



On Sat, 2011-07-16 at 21:22 +0200, Luca Bruno wrote:
Please report a bug.

Done, thanks!

https://bugzilla.gnome.org/show_bug.cgi?id=654753

Best regards

Alexander Kurtz

Attachment: signature.asc
Description: This is a digitally signed message part



[Date Prev][Date Next]   [Thread Prev][Thread Next]   [Thread Index] [Date Index] [Author Index]