gtksourceview r2268 - in trunk: . gtksourceview/language-specs
- From: pborelli svn gnome org
- To: svn-commits-list gnome org
- Subject: gtksourceview r2268 - in trunk: . gtksourceview/language-specs
- Date: Sun, 12 Apr 2009 10:56:51 +0000 (UTC)
Author: pborelli
Date: Sun Apr 12 10:56:51 2009
New Revision: 2268
URL: http://svn.gnome.org/viewvc/gtksourceview?rev=2268&view=rev
Log:
2009-04-12 Paolo Borelli <paolo borelli katamail com>
* gtksourceview/language-specs/verilog.lang:
Add some missing keywords. Bug #577892, patch by Ben James.
Modified:
trunk/ChangeLog
trunk/gtksourceview/language-specs/verilog.lang
Modified: trunk/gtksourceview/language-specs/verilog.lang
==============================================================================
--- trunk/gtksourceview/language-specs/verilog.lang (original)
+++ trunk/gtksourceview/language-specs/verilog.lang Sun Apr 12 10:56:51 2009
@@ -83,6 +83,7 @@
<context id="keywords" style-ref="keyword">
<keyword>always</keyword>
<keyword>assign</keyword>
+ <keyword>attribute</keyword>
<keyword>begin</keyword>
<keyword>case</keyword>
<keyword>casex</keyword>
@@ -93,17 +94,18 @@
<keyword>disable</keyword>
<keyword>edge</keyword>
<keyword>else</keyword>
- <keyword>end</keyword>
+ <keyword>endattribute</keyword>
<keyword>endcase</keyword>
- <keyword>endmodule</keyword>
<keyword>endfunction</keyword>
+ <keyword>endmodule</keyword>
<keyword>endprimitive</keyword>
<keyword>endspecify</keyword>
<keyword>endtable</keyword>
<keyword>endtask</keyword>
- <keyword>for</keyword>
+ <keyword>end</keyword>
<keyword>force</keyword>
<keyword>forever</keyword>
+ <keyword>fork</keyword>
<keyword>for</keyword>
<keyword>function</keyword>
<keyword>highz0</keyword>
@@ -123,12 +125,16 @@
<keyword>pull1</keyword>
<keyword>release</keyword>
<keyword>repeat</keyword>
+ <keyword>signed</keyword>
<keyword>small</keyword>
<keyword>specify</keyword>
<keyword>specparam</keyword>
+ <keyword>strength</keyword>
<keyword>strong0</keyword>
<keyword>strong1</keyword>
+ <keyword>table</keyword>
<keyword>task</keyword>
+ <keyword>unsigned</keyword>
<keyword>wait</keyword>
<keyword>weak0</keyword>
<keyword>weak1</keyword>
[
Date Prev][
Date Next] [
Thread Prev][
Thread Next]
[
Thread Index]
[
Date Index]
[
Author Index]